Browsing by Author Cong, Jason

Jump to: 0-9 A B C D E F G H I J K L M N O P Q R S T U V W X Y Z
Showing results 1 to 20 of 45  next >
Issue DateTitleAuthor(s)
2013An Analytical Placement Framework for 3-D ICs and Its Extension on Thermal AwarenessLuo, Guojie; Shi, Yiyu; Cong, Jason
2015Atlas: Baidu's Key-value Storage System for Cloud DataLai, Chunbo; Jiang, Song; Yang, Liqiong; Lin, Shiding; Sun, Guangyu; Hou, Zhenyu; Cui, Can; Cong, Jason
2017Automated Systolic Array Architecture Synthesis for High Throughput CNN Inference on FPGAsWei, Xuechao; Yu, Cody Hao; Zhang, Peng; Chen, Youxiang; Wang, Yuxin; Hu, Han; Liang, Yun; Cong, Jason
2005Bitwidth-aware scheduling and binding in high-level synthesisCong, Jason; Fan, Yiping; Han, Guoling; Lin, Yizhou; Xu, Junjuan; Zhang, Zhiru; Cheng, Xu
2017A Cache-based Bandwidth Optimized Motion Compensation Architecture for Video DecoderLi, Meng; Jia, Huizhu; Xie, Xiaodong; Cong, Jason; Gao, Wen
2019Caffeine: Toward uniformed representation and acceleration for deep convolutional neural networksZhang, Chen; Sun, Guangyu; Fang, Zhenman; Zhou, Peipei; Pan, Peichen; Cong, Jason
2016Caffeine: Towards Uniformed Representation and Acceleration for Deep Convolutional Neural NetworksZhan, Chen; Fang, Zhenman; Zhou, Peipei; Pan, Peichen; Cong, Jason
2017Communication Optimization on GPU: A Case Study of Sequence Alignment AlgorithmsWang, Jie; Xie, Xinfeng; Cong, Jason
2018Computed Tomography Image Enhancement Using 3D Convolutional Neural NetworkLi, Meng; Shen, Shiwen; Gao, Wen; Hsu, William; Cong, Jason
1-Jul-2020Crane: Mitigating Accelerator Under-utilization Caused by Sparsity Irregularities in CNNsGuan, Yijin; Sun, Guangyu; Yuan, Zhihang; Li, Xingchen; Xu, Ningyi; Chen, Shu; Cong, Jason; Xie, Yuan
2015Customizable ComputingChen, Yu-Ting; Cong, Jason; Gill, Michael; Reinman, Glenn; Xiao, Bingjun
2013Designing Scratchpad Memory Architecture with Emerging STT-RAM Memory TechnologiesWang, Peng; Sun, Guangyu; Wang, Tao; Xie, Yuan; Cong, Jason
2016A Distributed Clustered Architecture to Tackle Delay Variations in Datapath SynthesisDel Barrio, Alberto A.; Cong, Jason; Hermida, Roman
2014An efficient and flexible host-FPGA PCIe communication libraryGong, Jian; Wang, Tao; Chen, Jiahua; Wu, Haoyang; Ye, Fan; Lu, Songwu; Cong, Jason
2014An efficient design and implementation of LSM-tree based key-value store on open-channel SSDWang, Peng; Sun, Guangyu; Jiang, Song; Ouyang, Jian; Lin, Shiding; Zhang, Chen; Cong, Jason
2016FCUDA-HB: Hierarchical and Scalable Bus Architecture Generation on FPGAs With the FCUDA FlowChen, Ying; Nguyen, Tan; Chen, Yao; Gurumani, Swathi T.; Liang, Yun; Rupnow, Kyle; Cong, Jason; Hwu, Wen-Mei; Chen, Deming
2017FP-DNN: An Automated Framework for Mapping Deep Neural Networks onto FPGAs with RTL-HLS Hybrid TemplatesGuan, Yijin; Liang, Hao; Xu, Ningyi; Wang, Wenqiang; Shi, Shaoshuai; Chen, Xi; Sun, Guangyu; Zhang, Wei; Cong, Jason
2015FPGA acceleration by asynchronous parallelization for simultaneous image reconstruction and segmentation based on the Mumford-Shah regularizationZhang, Wentai; Luo, Guojie; Shen, Li; Page, Thomas; Li, Peng; Jiang, Ming; Maass, Peter; Cong, Jason
2014FPGA acceleration for simultaneous medical image reconstruction and segmentationLi, Peng; Page, Thomas; Luo, Guojie; Zhang, Wentai; Wang, Pei; Zhang, Peng; Maass, Peter; Jiang, Ming; Cong, Jason
2017FPGA-based Accelerator for Long Short-Term Memory Recurrent Neural NetworksGuan, Yijin; Yuan, Zhihang; Sun, Guangyu; Cong, Jason